I-China Wholesale LC Connect Quotes Manufacturer - 40Gb/s QSFP+ ER4, 40km 1310nm SFP Transceiver JHA-QC40 – JHA

Incazelo emfushane:


Uhlolojikelele

Ividiyo Ehlobene

Impendulo (2)

Landa

Siye saqiniseka ukuthi ngemizamo ehlanganyelwe, ibhizinisi phakathi kwethu lizosilethela izinzuzo ezifanayo. Siyakwazi ukukuqinisekisa ngekhwalithi yomkhiqizo noma yesevisi kanye nezindleko eziqinileI-Ong Distance SFP+ Transceiver,I-Transmitter kanye ne-Receiver,I-Internet Media Converter, Ukuze sizuze izinzuzo ezifanayo, inkampani yethu ithuthukisa kabanzi amaqhinga ethu okuhwebelana kwembulunga yonke mayelana nokuxhumana namakhasimende aphesheya kwezilwandle, ukulethwa okusheshayo, izinga eliphezulu nokubambisana kwesikhathi eside.
I-China Wholesale LC Connect Quotes Manufacturer - 40Gb/s QSFP+ ER4, 40km 1310nm SFP Transceiver JHA-QC40 – JHA Imininingwane:

Izici:

◊ 4 CWDM imizila ye-MUX/DEMUX design

◊ Kufika ku-11.2Gbps kumkhawulokudonsa wesiteshi ngasinye

◊ Umkhawulokudonsa ohlanganisiwe > 40Gbps

◊ Isixhumi se-Duplex LC

◊ Ihambisana ne-40G Ethernet IEEE802.3ba kanye ne-40GBASE-ER4 Standard

◊ I-QSFP MSA iyahambisana

◊ Isitholi sesithombe se-APD

◊ Ukuhanjiswa okungafika ku-40 km

◊ Ithobelana nezilinganiso zedatha ye-QDR/DDR Infiniband

◊ Ukunikezwa kwamandla okukodwa +3.3V okusebenzayo

◊ Imisebenzi yokuxilonga yedijithali eyakhelwe ngaphakathi

◊ Ibanga lokushisa 0°C kuya ku-70°C

◊ Ingxenye Ethobelayo ye-RoHS

Izicelo:

◊ I-Rack to Rack

◊ Izikhungo zedatha Amaswishi namaRouter

◊ Amanethiwekhi weMetro

◊ Amaswishi namaRutha

◊ Izixhumanisi ze-Ethernet ze-40G BASE-ER4

Incazelo:

I-JHA-QC40 iyimojula ye-transceiver eyenzelwe izinhlelo zokusebenza zokuxhumana ze-40km optical. Idizayini ithobelana ne-40GBASE-ER4 yezinga le-IEEE P802.3ba. I-module iguqula iziteshi ze-4 zokufakwayo (ch) zedatha kagesi ye-10Gb / s kumasignali we-4 CWDM optical, futhi iphindaphinda ibe isiteshi esisodwa se-40Gb / s yokudlulisa optical. Ngokuhlehlayo, ohlangothini lomamukeli, imojula i-optically de-multiplexes okokufaka kwe-40Gb/s kumasignali amashaneli angu-4 CWDM, futhi iwaguqulela kudatha kagesi ephumayo yesiteshi esingu-4.

Amaza amaphakathi eziteshi ezi-4 ze-CWDM angu-1271, 1291, 1311 kanye no-1331 nm njengamalungu egridi ye-wavelength ye-CWDM echazwe ku-ITU-T G694.2. Iqukethe isixhumi se-LC esiyi-duplex yesixhumi esibonakalayo esibonakalayo nesixhumi samaphini angama-38 esixhumi esibonakalayo sikagesi. Ukuze kuncishiswe ukuhlakazeka kokubona ohlelweni lokudonsa isikhathi eside, i-fiber yemodi eyodwa (SMF) kufanele isetshenziswe kule mojula.

Umkhiqizo uklanywe nge-form factor, uxhumano lwe-optical/electric kanye ne-digital diagnostic interface ngokuvumelana ne-QSFP Multi-Source Agreement (MSA). Iklanyelwe ukuhlangabezana nezimo zokusebenza zangaphandle ezinzima kakhulu ezihlanganisa izinga lokushisa, umswakama kanye nokuphazanyiswa kwe-EMI.

Imojula isebenza kugesi owodwa we-+3.3V kanye namasiginali okulawula umhlaba wonke we-LVCMOS/LVTTL njengeModule Present, Setha Kabusha, Ukuphazamisa kanye Nemodi Yamandla Aphansi ayatholakala namamojula. I-serial interface enezintambo ezi-2 iyatholakala ukuze ithumele futhi yamukele amasiginali okulawula ayinkimbinkimbi kanye nokuthola ulwazi lokuxilonga lwedijithali. Iziteshi ngazinye zingasingathwa futhi iziteshi ezingasetshenzisiwe zingavalwa ukuze umklamo ube nezimo eziguquguqukayo.

I-JHA-QC40 yakhelwe nge-form factor, uxhumano lwe-optical/electrical kanye ne-digital diagnostic interface ngokuvumelana ne-QSFP Multi-Source Agreement (MSA). Iklanyelwe ukuhlangabezana nezimo zokusebenza zangaphandle ezinzima kakhulu ezihlanganisa izinga lokushisa, umswakama kanye nokuphazanyiswa kwe-EMI. Imojula inikeza ukusebenza okuphezulu kakhulu nokuhlanganiswa kwesici, okufinyeleleka nge-serial interface enezintambo ezimbili.

Izilinganiso Eziphelele Eziphelele

Ipharamitha

Uphawu

Okuncane.

Okujwayelekile

Ubukhulu.

Iyunithi

Izinga Lokushisa Lesitoreji

TS

-40

 

+85

°C

Faka i-Voltage

VCCT, R

-0.5

 

4

V

Umswakama Ohlobene

RH

0

 

85

%

KunconyiweIndawo yokusebenza:

Ipharamitha

Uphawu

Okuncane.

Okujwayelekile

Ubukhulu.

Iyunithi

I-Case operating Temperature

TC

0

 

+70

°C

Faka i-Voltage

VCCT, R

+3,13

3.3

+3,47

V

Ukunikezwa Kwamanje

ICC

 

 

1000

mA

Ukuchithwa kwamandla

PD

 

 

3.5

IN

Izici zikagesi(TVULIWE = 0 kuya ku-70 °C, VCC= 3.13 kuya ku-3.47 Volts

Ipharamitha

Uphawu

Okuncane

Uhlobo

Ubukhulu

Iyunithi

Qaphela

Izinga Ledatha Isiteshi ngasinye

 

-

10.3125

11.2

Gbps

 

Ukusetshenziswa kwamandla

 

-

2.5

3.5

IN

 

Ukunikezwa Kwamanje

Icc

 

0.75

1.0

A

 

Lawula i-I/O Voltage-High

I-HIV

2.0

 

Vcc

V

 

Lawula i-I/O Voltage-Low

INTANDO

0

 

0.7

V

 

I-Inter-Channel Skew

I-TSK

 

 

150

IHu

 

RESETL Ubude besikhathi

 

 

10

 

Us

 

I-RESETL isikhathi sokukhipha i-assert

 

 

 

100

ms

 

Power On Time

 

 

 

100

ms

 

I-Transmitter
Ukubekezelela I-Voltage Yokukhipha Okukodwa Okuphelile

 

0.3

 

4

V

1

Imodi evamile Ukubekezelela I-Voltage

 

15

 

 

mV

 

Dlulisa I-Voltage Diff Input

WE

150

 

1200

mV

 

Dlulisa I-Impedans Eyehlukile Kokufaka

UMUSHO

85

100

115

 

 

Ijitha yokufaka encike kudatha

DDJ

 

0.3

 

I-UI

 

Umamukeli
Ukubekezelela I-Voltage Yokukhipha Okukodwa Okuphelile

 

0.3

 

4

V

 

I-Rx Output Diff Voltage

Vo

370

600

950

mV

 

I-Rx Output Rise Rise and Fall Voltage

Tr/Tf

 

 

35

ps

1

I-Jitter ephelele

TJ

 

0.3

 

I-UI

 

Qaphela:

  1. 20~80%

Imingcele ye-Optical(TOP = 0 kuya ku-70°C, VCC = 3.0 kuya ku-3.6 Volts)

Ipharamitha

Uphawu

Okuncane

Uhlobo

Ubukhulu

Iyunithi

Ref.

I-Transmitter
  Isabelo se-Wavelength

L0

1264.5

1271

1277.5

nm

 

L1

1284.5

1291

1297.5

nm

 

L2

1304.5

1311

1317.5

nm

 

L3

1324.5

1331

1337.5

nm

 

I-Side-mode Suppression Ratio

I-SMSR

30

-

-

dB

 

Amandla Okuqalisa Amaphakathi Aphelele

I-PT

-

-

8.3

dBm

 

Amandla Okuqalisa Okumaphakathi, Umzila ngamunye

 

-3

-

5

dBm

 

TDP, Umzila ngamunye

I-TDP

 

 

2.3

dB

 

Isilinganiso Sokuqothula

IS

3.5

6.0

 

dB

 
I-Transmitter Eye Mask Definition {X1, X2, X3, Y1, Y2, Y3}

 

{0.25, 0.4, 0.45, 0.25, 0.28, 0.4}    

 

 
I-Optical Return Loss Tolerance

 

-

-

20

dB

 

I-Average Launch Power OFF Transmitter, Umzila ngamunye

Poof

 

 

-30

dBm

 

Umsindo Onamandla Okuhlobene

Futhi

 

 

-128

dB/HZ

1

I-Optical Return Loss Tolerance

 

-

-

12

dB

 

Umamukeli
Umonakalo Threshold

THd

3

 

 

dBm

1
Amandla Aphakathi Kokokufaka Kwamamukeli, Umzila ngamunye

R

-amashumi amabili nanye

 

-6

dBm

 

Thola Imvamisa Yokusika Kagesi engu-3 dB ephezulu, Umzila ngamunye

 

 

 

12.3

GHz

 

Ukunemba kwe-RSSI

 

-2

 

2

dB

 

Receiver Reflectance

Rrx

 

 

-26

dB

 

Amandla Okwamukela (OMA), Umzila ngamunye

 

-

-

3.5

dBm

 

Thola i-Electric 3 dB engaphezulu Imvamisa yokusika, Umzila ngamunye

 

 

 

12.3

GHz

 

I-LOS De-Assert

THED

 

 

-25

dBm

 

I-LOS Assert

THEA

-35

 

 

dBm

 

I-LOS Hysteresis

THEH

0.5

 

 

dB

 

Qaphela

  1. I-12dB Reflection

I-Diagnostic Monitoring Interface

Umsebenzi wokuqapha ukuxilonga kwedijithali uyatholakala kuwo wonke ama-QSFP+ ER4. I-serial interface enezintambo ezi-2 inikeza umsebenzisi ukuthi axhumane nemojuli. Ukwakheka kwenkumbulo kukhonjiswa ngokugeleza. Isikhala senkumbulo sihlelwe saba ngaphansi, ikhasi elilodwa, indawo yekheli engamabhayithi angu-128 namakhasi amaningi esikhala samakheli aphezulu. Lesi sakhiwo sivumela ukufinyelela okufika ngesikhathi kumakheli ekhasini elingezansi, elifana namafulegi aphazamisayo nabaqaphi. Isikhathi esincane esibalulekile esifakiwe, esifana nolwazi lwe-serial ID namasethingi e-threshold, ayatholakala nomsebenzi Wokukhetha Ikhasi. Ikheli lesixhumi esibonakalayo elisetshenzisiwe lithi A0xh futhi lisetshenziselwa kakhulu idatha ebalulekile yesikhathi njengokuphatha ukuphazamiseka ukuze kunikwe amandla ukufundwa kanye nje kwayo yonke idatha ehlobene nesimo sokuphazamiseka. Ngemva kokuphazamiseka, i-IntL isigonyelwe, umsingathi angakwazi ukufunda inkundla yefulegi ukuze anqume isiteshi esithintekile kanye nohlobo lwefulegi.

3 45 56

Okuqukethwe Kwenkumbulo ye-EEPROM Serial ID (Ahh)

Idatha

Ikheli

Ubude
(Byte)

Igama lika

Ubude

Incazelo kanye Nokuqukethwe

Izinkambu ze-Base ID

128

1

Isihlonzi

Isikhombi Uhlobo lwe-serial Module(D=QSFP+)

129

1

Ext. Isihlonzi

Inkomba Enwetshiwe Yemojuli Ye-serial(90=2.5W)

130

1

Isixhumi

Ikhodi yohlobo lwesixhumi(7=LC)

131-138

8

Ukuthobela imininingwane

Ikhodi yokuhambisana kwe-elekthronikhi noma ukuhambisana kokubona(40GBASE-LR4)

139

1

Ukufaka ikhodi

Ikhodi ye-serial encoding algorithm(5=64B66B)

140

1

BR, Okuqanjiwe

Izinga lebhithi elincane, amayunithi angu-100 MBits/s(6C=108)

141

1

Amanani anwetshiwe khetha Ukuthobela

Omaka bokuthobela isilinganiso esinwetshiwe

142

1

Ubude(SMF)

Ubude besixhumanisi busekelwa ifayibha ye-SMF nge-km (28=40KM)

143

1

Ubude(OM3 50um)

Ubude besixhumanisi busekelwe ku-EBW 50/125um fiber(OM3), amayunithi angu-2m

144

1

Ubude(OM2 50um)

Ubude besixhumanisi busekelwe ku-50/125um fiber(OM2), amayunithi angu-1m

145

1

Ubude(OM1 62.5um)

Ubude besixhumanisi busekelwe ku-62.5/125um fiber (OM1), amayunithi angu-1m

146

1

Ubude(Ithusi)

Xhuma ubude bekhebula yethusi noma esebenzayo, buhlanganisa ubude besixhumanisi obungu-1m obusekelwe ku-50/125um fiber (OM4), amayunithi angu-2m lapho i-Byte 147 imemezela u-850nm VCSEL njengoba kuchazwe kuThebula 37

147

1

Ubuchwepheshe bedivayisi

Ubuchwepheshe bedivayisi

148-163

16

Igama lomthengisi

Igama lomthengisi we-QSFP+: TIBTRONIX (ASCII)

164

1

Imojuli Enwetshiwe

Amakhodi Anwetshiwe emojuli ye-InfiniBand

165-167

3

Umthengisi YEBO

I-ID yenkampani ye-QSFP+ ye-IEEE (000840)

168-183

16

Umthengisi we-PN

Ingxenye inombolo: JHA-QC40 (ASCII)

184-185

2

Umthengisi umfundisi

Ileveli yokubuyekeza yengxenye yenombolo enikezwe umthengisi (ASCII) (X1)

186-187

2

Ubude begagasi noma ikhebula le-Copper Attenuation

Ubude beza beza be-laser (i-wavelength=value/20 ku-nm) noma ukuncishiswa kwekhebula le-copper ku-dB ku-2.5GHz (Adrs 186) kanye no-5.0GHz (Adrs 187) (65A4=1301)

188-189

2

Ukubekezelelana kwamaza

Ibanga eliqinisekisiwe le-laser wavelength(+/- inani) ukusuka kwegama
ubude begagasi. (i-wavelength Tol.=inani/200 ku-nm) (1C84=36.5)

190

1

Izinga lokushisa eliphakeme kakhulu.

Izinga lokushisa eliphakeme kakhulu kuma-degree C (70)

191

1

CC_BASE

Hlola ikhodi yezinkambu ze-ID eziyisisekelo (amakheli 128-190)
Izinkambu ze-ID ezinwetshiwe

192-195

4

Izinketho

Ukukala Khetha, TX Khubaza, Tx Fault, LOS, Izexwayiso izinkomba: Temperature, VCC, RX, amandla, TX Bias

196-211

16

Umthengisi SN

Inombolo yomkhiqizo inikezwe umthengisi (ASCII)

212-219

8

Ikhodi Yedethi

Ikhodi yedethi yokukhiqiza yomthengisi

220

1

Uhlobo Lokuqapha Ukuxilonga

Ibonisa ukuthi yiziphi izinhlobo zokuqapha zokuxilonga ezisetshenziswayo (uma zikhona) kuMojuli. Ibhithi 1, 0 Igodliwe (8=Amandla Amaphakathi)

221

1

Izinketho Ezithuthukisiwe

Ibonisa ukuthi yiziphi izici ozikhethela ezithuthukisiwe ezisetshenziswa kuMojuli.

222

1

Igodliwe

223

1

CC_EXT

Hlola ikhodi Yezinkambu Zomazisi Ezandisiwe (amakheli 192-222)
Izinkambu ze-ID ethize yomthengisi

224-255

32

Umthengisi Specific EEPROM


Isikhathi Sokulawula Okuthambile kanye Nemisebenzi Yesimo

Ipharamitha

Uphawu

Ubukhulu

Iyunithi

Izimo

Isikhathi Sokuqalisa t_ini 2000 ms Isikhathi kusukela emandleni ku-1, ipulaki elishisayo noma unqenqema olukhuphukayo Lokusetha Kabusha ize imojuli isisebenze ngokugcwele2
Setha Kabusha Isikhathi Sokugunyazwa Kwe-Init t_reset_init 2 μs Ukusetha kabusha kukhiqizwa izinga eliphansi elide kunesikhathi esincane sokusetha kabusha ishayela esikhona kuphinikhodi ye-ResetL.
I-serial Bus Hardware Ready Isikhathi t_serial 2000 ms Isikhathi sisuka emandleni ku-1 kuze kube imojuli iphendula ekudluliselweni kwedatha ngebhasi eliwuchungechunge elinezintambo ezi-2
Qapha Idatha IlungileIsikhathi t_idatha 2000 ms Isikhathi sisuka emandleni ku-1 siye kudatha engakalungi, i-bit 0 ye-Byte 2, i-deasserted futhi i-IntL iyagomela
Setha kabusha Isikhathi Sokugomela t_setha kabusha 2000 ms Isikhathi kusukela ekuphakameni konqenqema kuphinikhodi ye-ResetL kuze kube yilapho imojuli isisebenza ngokugcwele2
Isikhathi Sokugunyaza se-LPMode ton_LPMode 100 μs Isikhathi kusukela ekugomeleni kwe-LPMode (Vin:LPMode =Vih) kuze kube yilapho ukusetshenziswa kwamandla emojuli kungena Izinga Lamandla eliphansi
Isikhathi se-IntL Assert ithoni_IntL 200 ms Isikhathi kusukela ekuveleni kwesimo esidala i-IntL kuze kube i-Vout:IntL = Vol
Isikhathi se-IntL Deassert i-toff_IntL 500 μs toff_IntL 500 μs Isikhathi kusukela ekucaceni kokusebenza kokufunda3 kwefulegi elihlobene kuze kube i-Vout:IntL = Voh. Lokhu kuhlanganisa izikhathi zamadesethi ze-Rx LOS, Tx Fault nezinye izingcezu zefulegi.
Isikhathi se-Rx LOS Assert amathani_ukulahlekelwa 100 ms Isikhathi esisuka kusifunda se-Rx LOS siye ku-Rx LOS bit set futhi i-IntL iyagomela
Maka Isikhathi Sokugomela ifulegi_lethani 200 ms Isikhathi kusukela ekuveleni kwesimo esibangela ukuhlaba umkhosi kuye ekusethweni kwebhithi yefulegi elihlobene kanye ne-IntL yagomela
Isikhathi Sokugcizelela Imaski ton_mask 100 ms Isikhathi kusuka ku-mask bit set4 kuze kube yilapho ukugomela okuhlobene kwe-IntL kuvinjelwe
Isikhathi Sokugonyelwa Mask toff_mask 100 ms Isikhathi esisuka kubhithi yemaski sisuliwe4 kuze kube yilapho umsebenzi we-IntlL ohlobene uqalisa kabusha
Isikhathi Sokuqinisekisa se-ModSelL ton_ModSelL 100 μs Isikhathi kusukela ekugomeleni kwe-ModSelL kuze kube yilapho imojuli iphendula ekudlulisweni kwedatha ngebhasi le-serial elinezintambo ezi-2
Isikhathi se-ModSelL Deassert toff_ModSelL 100 μs Isikhathi kusukela ekukhishweni kwe-ModSelL kuze kube yilapho imojula ingaphenduli ekudlulisweni kwedatha ngebhasi le-serial elinezintambo ezi-2
Power_over-ride nomaIsikhathi Sokugunyazwa Setha Amandla ton_Pdown 100 ms Isikhathi sisuka ku-P_Down bit setha 4 kuze kube yilapho ukusetshenziswa kwamandla emojuli kungena kuleveli Yamandla ephansi
Power_over-ride noma Power-set De-assert Time toff_Phansi 300 ms Isikhathi esisuka ku-P_Down bit cleared4 kuze kube yilapho imojuli isisebenza ngokugcwele3

Qaphela:

1. Amandla avuliwe achazwa ngokuthi osheshayo lapho amandla ogesi efika futhi ahlale engaphezulu noma ngaphezu kwenani elincane elishiwo.

2. Ukusebenza ngokugcwele kuchazwa ngokuthi i-IntL igomela ngenxa yedatha engakalungi kancane, i-bit 0 byte 2 de-asserted.

3. Kukalwa kusuka onqenqemeni lwewashi eliwayo ngemuva kokuma kancane kokufunda.

4. Kukalwa kusuka onqenqemeni lwewashi eliwayo ngemuva kokuma kokubhala okwenziwe.

I-Transceiver Block Diagram

43 

Phina Isabelo

 54

Umdwebo Wezinombolo Zephini Lebhodi Lokusingatha Ibhulokhi Negama

PhinaIncazelo

Phina

Okunengqondo

Uphawu

Igama/Incazelo

Ref.

1

 

GND

Ground

1

2

CML-I

Tx2n

I-Transmitter Inverted Data Input

 

3

CML-I

Tx2 p

Okukhiphayo Kwedatha Engaguquliwe Ye-Transmitter

 

4

 

GND

Ground

1

5

CML-I

Tx4n

I-Transmitter Inverted Data Output

 

6

CML-I

Tx4p

I-Transmitter Okukhiphayo Kwedatha Engaguquki

 

7

 

GND

Ground

1

8

I-LVTTL-I

I-ModSel

Khetha imojula

 

9

I-LVTTL-I

Setha kabushaL

Ukusetha kabusha imojuli

 

10

 

VccRx

+3.3V Isitholi Sokuhlinzeka Ngogesi

2

11

I-LVCMOS-I/O

I-SCL

2-Wire Serial Interface Clock

 

12

I-LVCMOS-I/O

I-SDA

Idatha ye-2-Wire Serial Interface

 

13

 

GND

Ground

1

14

I-CML-O

Rx3p

I-Receiver Inverted Data Output

 

15

I-CML-O

Rx3n

Isamukeli Okukhiphayo Kwedatha Engaguquki

 

16

 

GND

Ground

1

17

I-CML-O

Rx1p

I-Receiver Inverted Data Output

 

18

I-CML-O

Rx1n

Isamukeli Okukhiphayo Kwedatha Engaguquki

 

19

 

GND

Ground

1

20

 

GND

Ground

1

amashumi amabili nanye

I-CML-O

Rx2n

I-Receiver Inverted Data Output

 

amashumi amabili nambili

I-CML-O

Rx2p

Isamukeli Okukhiphayo Kwedatha Engaguquki

 

amashumi amabili nantathu

 

GND

Ground

1

amashumi amabili nane

I-CML-O

Rx4n

I-Receiver Inverted Data Output

 

25

I-CML-O

Rx4p

Isamukeli Okukhiphayo Kwedatha Engaguquki

 

26

 

GND

Ground

1

27

I-LVTTL-O

I-ModPrsL

Imojula Ikhona

 

28

I-LVTTL-O

I-IntL

Phakamisa

 

29

 

VccTx

+3.3V Isidluliseli Samandla Samandla

2

30

 

Vcc1

+3.3V Ukunikezwa kwamandla

2

31

I-LVTTL-I

I-LPMode

Imodi Yamandla Aphansi

 

32

 

GND

Ground

1

33

CML-I

Tx 3 p

I-Transmitter Inverted Data Output

 

34

CML-I

Tx3n

I-Transmitter Okukhiphayo Kwedatha Engaguquki

 

35

 

GND

Ground

1

36

CML-I

Tx1p

I-Transmitter Inverted Data Output

 

37

CML-I

Tx1n

I-Transmitter Okukhiphayo Kwedatha Engaguquki

 

38

 

GND

Ground

1

Amanothi:

  1. I-GND iwuphawu lwe-single and supply(amandla) avamile kumamojula e-QSFP, Konke kuvamile ngaphakathi kwemojula ye-QSFP futhi wonke amamojula ogesi abhekiselwa kulokhu okungenzeka kuphawulwe ngenye indlela. Xhuma lezi ngokuqondile endizeni yaphansi yesignali yebhodi lomsingathi. Okukhipha i-laser kukhutshaziwe ku-TDIS >2.0V noma kuvuliwe, kunikwe amandla ku-TDIS
  2. I-VccRx, Vcc1 kanye ne-VccTx zingabahlinzeki bamandla abamukelayo nabathumeli futhi bazosetshenziswa kanyekanye. Ukuhlunga okunconyiwe kwebhodi lomsingathi kuboniswa ngezansi. I-VccRx, Vcc1 ne-VccTx ingase ixhumeke ngaphakathi ngaphakathi kwemojula ye-transceiver ye-QSFP kunoma iyiphi inhlanganisela. Izikhonkwane zokuxhuma ngayinye zilinganiselwe ngobuningi bamanje obungu-500mA.

Umjikelezo onconyiwe

amashumi amabili nantathu

Ubukhulu Bomshini

43


Izithombe zemininingwane yomkhiqizo:

I-China Wholesale LC Connect Quotes Manufacturer - 40Gb/s QSFP+ ER4, 40km 1310nm SFP Transceiver JHA-QC40 – JHA imininingwane yezithombe


Umhlahlandlela Womkhiqizo Ohlobene:

Kungakhathaliseki ukuthi umthengi omusha noma ikhasimende elidala, Sikholelwa ekukhulumeni okude kakhulu nasebudlelwaneni obuthembekile be-China Wholesale LC Connect Quotes Manufacturer - 40Gb/s QSFP+ ER4, 40km 1310nm SFP Transceiver JHA-QC40 – JHA , Umkhiqizo uzohlinzeka emhlabeni wonke , njenge: Germany, Lithuania, Greece, Sinamathela ekugijimeni okuqotho, okuphumelelayo, okusebenzayo kokuwina-win inhloso kanye nefilosofi yebhizinisi egxile kubantu. Izinga elihle kakhulu, intengo enengqondo kanye nokwaneliseka kwamakhasimende kuhlala kulandelwa! Uma unentshisekelo ngemikhiqizo yethu, zama nje ukusithinta ukuze uthole imininingwane eyengeziwe!

Umphathi wezokuthengisa unomdlandla kakhulu futhi uchwepheshe, usinike imvume enhle futhi izinga lomkhiqizo lihle kakhulu, siyabonga kakhulu!
5 IzinkanyeziNguSteven waseBangkok - 2018.07.26 16:51
Leli yibhizinisi lokuqala ngemuva kokusungulwa kwenkampani yethu, imikhiqizo nezinsizakalo zanelisa kakhulu, sinesiqalo esihle, sithemba ukubambisana ngokuqhubekayo esikhathini esizayo!
5 IzinkanyeziNgu-Madeline wase-Detroit - 2018.02.12 14:52
Bhala umyalezo wakho lapha futhi usithumelele wona