Mtengo Wotsikitsitsa Wathunthu wa 10g - 16 10/100/1000TX Ndi 4 1G/10G SFP Slot | Kuwongolera kwa Industrial Ethernet Switch JHA-MIW4G016H - JHA

Kufotokozera Kwachidule:


Mwachidule

Kanema Wogwirizana

Ndemanga (2)

Tsitsani

Timagogomezera kupita patsogolo ndikuyambitsa njira zatsopano pamsika chaka chilichonseAdapter ya seva ya Pcie,Epon Media Converter,Ahd Video Converter, Sitimangopereka zamtengo wapatali kwa makasitomala athu, koma chofunika kwambiri ndi ntchito yathu yabwino komanso mtengo wampikisano.
Mtengo Wotsikitsitsa Wathunthu wa 10g - 16 10/100/1000TX Ndi 4 1G/10G SFP Slot | Kusintha kwa Industrial Ethernet Switch JHA-MIW4G016H - Tsatanetsatane wa JHA:

Mawonekedwe

*Kuthandizira 4 1G/10G SFP+ Slot ndi 16 10/100/1000Base-T(X) doko la Efaneti.

*Support G.8032(ERPS), IEEE802.3, IEEE802.3u, IEEE802.3z, IEEE802.3x, IEEE802.3ad, IEEE802.3ab, IEEE802.1p, IEEE802.0G2, IEEE802.0G2. IPv6 patsogolo.

* Thandizani CLI, SNMP, kasamalidwe ka WEB, Console/Telnet kasamalidwe ka mzere wa malamulo ndi syslog, pogwiritsa ntchito ukadaulo wodzipangira okha mphete, nthawi yobwezeretsa

*DC10-55V redundancy mphamvu, reverse polarity chitetezo.

* Mapangidwe a kalasi ya 4, -40-85 ° C kutentha kwa ntchito.

* IP40 idavotera nyumba ya aluminiyamu aloyi, DIN-Rail yokwera.

Mawu Oyamba

JHA-MIW4G016H ndiwotchipa kwambiri, yotsika mtengo kwambiri yoyendetsedwa ndi mafakitale a Efaneti. Kusinthaku kumapereka 16 10/100/1000Base-T(X) doko la Efaneti ndi 4 1G/10G SFP+ Slot. Mogwirizana kwathunthu ndi kapangidwe kazinthu zamafakitale ndi zida, chipolopolocho chimapangidwa ndi aloyi ya aluminiyamu kuti chiwongolere magwiridwe antchito a kutentha. Kupyolera mu kamangidwe ka dera lopanda kutentha kwa kutentha, kutentha kwa malo ogwira ntchito, chitetezo chapamwamba ndi matekinoloje ena, kumapereka kukana kutentha kwakukulu, kutetezedwa kwa mphezi ndi zina zabwino kwambiri zamagawo a mafakitale; thandizirani kasamalidwe ka WEB, VLAN, QoS, SNMP, IGMP snooping ndi ntchito zina zamaneti; thandizirani chitetezo cha Efaneti chofulumira cha mphete zapaintaneti za STP/RSTP/MSTP zotambasula maukonde a mphete; Chitetezo cha ESD chamagulu atatu ndi choyenera nthawi zambiri, monga mayendedwe anzeru, kuyang'anira panja, ma network a mafakitale, mizinda yotetezeka ndi malo ena owopsa a chilengedwe amafuna kuti malondawo atengerenso zopanda mphamvu, zotsika mphamvu zogwiritsira ntchito mphamvu, kapangidwe ka mafakitale, ndi kutentha kwa ntchito -40 mpaka 85 ° C, komwe kumatha kukwaniritsa zofunikira zosiyanasiyana zamakampani Pamalo, kupereka mayankho azachuma. Chipangizochi chitha kugwiritsidwa ntchito kwambiri m'magawo osiyanasiyana otumizira ma data a Broadband monga nyumba zanzeru, mizinda yanzeru, madera anzeru, mayendedwe anzeru, matelefoni, chitetezo, chitetezo chandalama, miyambo, kutumiza, mphamvu yamagetsi, kusungira madzi ndi minda yamafuta.

Tsatanetsatane Mawonekedwe

* Thandizani STP/RSTP/MSTP kupanga mtengo protocol, kuchotsa wosanjikiza 2 loop ndi kuzindikira ulalo kusunga.

* Thandizani IEEE 802.1Q VLAN, ogwiritsa ntchito amatha kugawa VLAN momasuka malinga ndi zosowa, kuthandizira Voice VLAN, ndikuthandizira QinQ kasinthidwe.

* Thandizani IGMP V1/V2 multicast protocol, thandizirani IGMP Snooping, kukumana ndi makanema otanthauzira mawu ambiri.

*Kuwunika pafupipafupi komanso kulumikizana ndi makanema pamakanema.

* Thandizani kudzipatula padoko.

* Thandizani kuponderezedwa kwa mphepo yamkuntho.

* Thandizani njira zosiyanasiyana zowongolera ndi kukonza monga kasamalidwe ka netiweki, CLI command line (Console, Telnet), SNMP (V1/V2/V3) Telnet, etc.

* Thandizani HTTPS, SSLV3, SSHV1/V2 ndi njira zina zolembera, kupangitsa kasamalidwe kukhala kotetezeka.

* Thandizani RMON, chipika chadongosolo, ndi ziwerengero zamagalimoto zamadoko, zomwe ndizosavuta kukhathamiritsa kwa netiweki ndikusintha.

* Thandizani LLDP, yomwe ndiyosavuta kuti kasamalidwe ka netiweki afunse ndikuweruza momwe ulalowo ukuyendera.

* Thandizani kuwunika kwa CPU, kuyang'anira kukumbukira, kuzindikira kwa Ping, kuzindikira kutalika kwa chingwe.

* Ogwiritsa ntchito amatha kumvetsetsa momwe chipangizocho chikugwirira ntchito pogwiritsa ntchito chizindikiro cha mphamvu (PWR), chizindikiro cha magwiridwe antchito (SYS), chizindikiro cha doko (Link, L/A).

Kufotokozera

Chiyankhulo
Fiber Port 4*1G/10G SFP+ Slot
Ethernet Port 16*10/100/1000 Base-T
Sinthani Port 1 * RJ45 Console port
Cholumikizira mphamvu 5P Phoenix terminal, dual power supply redundancy
Chizindikiro cha LED PWR (wobiriwira);

Chizindikiro cha dongosolo: SYS (wobiriwira);

Chizindikiro cha port network:Yellow(Link)/Green (Liwiro)/Green(Fiber L/A)

Mtundu wa chingwe ndi mtunda
Chingwe chopotoka 0-100m (CAT5e, CAT6)
Single-mode fiber 20/40/60/80/100KM
Multimode fiber 550m/2KM
Optic fiber mawonekedwe LC/SC
Network topology & sikelo
Topology ya mphete Thandizo
Topology ya nyenyezi Thandizo
Topology basi Thandizo
Topology yamitengo Thandizo
Ma hybrid topology Thandizo
Makhalidwe amagetsi
Mphamvu yamagetsi DC10-55V/AC 100-240V 50-60HZ
Kugwiritsa ntchito mphamvu Non-POE katundu wathunthu (Total mphamvu)
Zithunzi za L2
Kusintha mphamvu 96g pa
Mtengo wotumizira paketi 64.23Mpps
Tsamba la adilesi ya MAC 16k pa
Thandizo la VLAN ndi kuchuluka kwake Thandizo la 4K
Report buffer 12M
Kuchedwetsa kutumiza
Makhalidwe adoko Kulumikizana molunjika komanso molunjika
Kuwongolera kuyenda Thandizo
Thandizo la Jumbo frame Thandizani 10Kbytes
Mitengo ya Tree Protocol Thandizani STP/RSTP/MSTP
Ring network protocol Thandizani ERPS
Link aggregation Thandizani magulu 16
Multicast Thandizani IGMP Snooping

Port mirroring

Skuthandizira

Kupondereza kwa mphepo yamkuntho

Skuthandizira

Ziwerengero zamagalimoto zamadoko

Skuthandizira

Port flow system

Skuthandizira

Mtengo wa QINQ

Thandizo

 

 

 

 

Chitetezo

Chipolopolo: IP40 chitetezo, zotayidwa aloyi nyumba

IEC 61000-4-5 Level 3 (4KV/2KV) (8/20us)

IEC 61000-4-5 Level 3 (6KV/2KV) (10/700us)

IEC 61000-4-3 Gawo 3 (10V/m)

IEC 61000-4-4 Level 3 (1V/2V)

IEC 61000-4-6 Gawo 3 (10V/m)

IEC 61000-4-8 Level4 (30A/m)

IEC 61000-4-11 Level3 (10V)

EMI CLASS A

IEC 61000-4-2 Level 4 (15KV/30KV)

Kugwa kwaulere 0.5m

Convergence Service

Mtengo wa ACL

Thandizani ma ACL 500;

Thandizani IP muyezo ACL;

Thandizani MAC yowonjezera ACL;

Thandizani IP yowonjezera ACL;

QoS

Thandizani kuyikanso chizindikiro kwa QoS ndi mapu oyamba;

Thandizo la SP, WRR ndandanda ya mzere;

Thandizani malire a liwiro lolowera ndi malire otuluka;

Thandizo lochokera ku QoS

Ntchito yoyang'anira

Mzere wolamula

Skuthandizira

Management Serial port

Skuthandizira

Telnet

Skuthandizira

WEB management

Skuthandizira

Chithunzi cha SNMP

Skuthandizira SNMPv1/v2c

Kasamalidwe ka ogwiritsa ntchito

Skuthandizira

Dongosolo lolemba

Skuthandizira

Kusintha kwa fayilo

Skuthandizira

Kusintha kwa firmware

Skuthandizira

Gawo la SFP

Skuthandizira

Mikhalidwe ya chilengedwe

Kutentha kwa ntchito

-40+ 85

Kusungirako / kutentha kwamayendedwe

-40+ 85

Chinyezi chachibale

5% ~ 95% sagwirizana ndi condensation (osasunthika)

Njira yozizira

Palibe mawonekedwe a fan, kutentha kwachilengedwe

Mtengo wa MTBF

100,000 maola

Kapangidwe ka makina

Kukula

142X160X88mm

Njira yoyika

KWA RchachiwiriInekukhazikitsa

Kulemera

1.5KG

Chiyankhulo

 

Fiber Port

4*1G/10G SFP+ Slot

 

Ethernet Port

16* 10/100/1000 maziko-T

 

Sinthani Port

1 * RJ45 Console port

 

Cholumikizira mphamvu

5P Phoenix terminal, dual power supply redundancy

 

Chizindikiro cha LED

PWR (wobiriwira);

Chizindikiro cha dongosolo: SYS (wobiriwira);

Chizindikiro cha port network:NDIbwino (Lumikizani)/Green (Liwiro)/Wobiriwira(Fiber L/A)

 

Mtundu wa chingwe ndi mtunda

 

Chingwe chopotoka

0-100m (CAT5e, CAT6)

 

Single-mode fiber

20/40/60/80/100KM

 

Multimode fiber

550m/2KM

 

Optic fiber mawonekedwe

LC/SC

 

Network topology & sikelo

 

Topology ya mphete

Thandizo

 

Topology ya nyenyezi

Thandizo

 

Topology basi

Thandizo

 

Topology yamitengo

Thandizo

 

Ma hybrid topology

Thandizo

 

Makhalidwe amagetsi

 

Mphamvu yamagetsi

DC10-55V/AC 100-240V 50-60HZ

 

Kugwiritsa ntchito mphamvu

Non-POE katundu wathunthu (Total mphamvu)20W

 

Zithunzi za L2

 

Kusintha mphamvu

96G

 

Mtengo wotumizira paketi

64.23Mps

 

Tsamba la adilesi ya MAC

16k pa

 

Thandizo la VLAN ndi kuchuluka kwake

Skuthandizira 4k

 

Report buffer

12M

 

Kuchedwetsa kutumiza

 

Makhalidwe adoko

Kulumikizana molunjika komanso molunjika

 

Kuwongolera kuyenda

Skuthandizira

 

Thandizo la Jumbo frame

Skuthandizira 10 kbytes

 

Mitengo ya Tree Protocol

Thandizani STP/RSTP/MSTP

 

Ring network protocol

Skuthandizira ERPS

 

Link aggregation

Skuthandizira 16 magulu

 

Multicast

Sthandizirani IGMP Snooping

 

Port mirroring

Skuthandizira

 

Kupondereza kwa mphepo yamkuntho

Skuthandizira

 

Ziwerengero zamagalimoto zamadoko

Skuthandizira

 

Port flow system

Skuthandizira

 

Mtengo wa QINQ

Thandizo

 

 

 

 

 

Chitetezo

Chipolopolo: IP40 chitetezo, zotayidwa aloyi nyumba

IEC 61000-4-5 Level 3 (4KV/2KV) (8/20us)

IEC 61000-4-5 Level 3 (6KV/2KV) (10/700us)

IEC 61000-4-3 Gawo 3 (10V/m)

IEC 61000-4-4 Level 3 (1V/2V)

IEC 61000-4-6 Gawo 3 (10V/m)

IEC 61000-4-8 Level4 (30A/m)

IEC 61000-4-11 Level3 (10V)

EMI CLASS A

IEC 61000-4-2 Level 4 (15KV/30KV)

Kugwa kwaulere 0.5m

 

Convergence Service

 

Mtengo wa ACL

Thandizani ma ACL 500;

Thandizani IP muyezo ACL;

Thandizani MAC yowonjezera ACL;

Thandizani IP yowonjezera ACL;

 

QoS

Thandizani kuyikanso chizindikiro kwa QoS ndi mapu oyamba;

Thandizo la SP, WRR ndandanda ya mzere;

Thandizani malire a liwiro lolowera ndi malire otuluka;

Thandizo lochokera ku QoS

 

Ntchito yoyang'anira

 

Mzere wolamula

Skuthandizira

 

Management Serial port

Skuthandizira

 

Telnet

Skuthandizira

 

WEB management

Skuthandizira

 

Chithunzi cha SNMP

Skuthandizira SNMPv1/v2c

 

Kasamalidwe ka ogwiritsa ntchito

Skuthandizira

 

Dongosolo lolemba

Skuthandizira

 

Kusintha kwa fayilo

Skuthandizira

 

Kusintha kwa firmware

Skuthandizira

 

Gawo la SFP

Skuthandizira

 

Mikhalidwe ya chilengedwe

 

Kutentha kwa ntchito

-40+ 85

 

Kusungirako / kutentha kwamayendedwe

-40+ 85

 

Chinyezi chachibale

5% ~ 95% sagwirizana ndi condensation (osasunthika)

 

Njira yozizira

Palibe mawonekedwe a fan, kutentha kwachilengedwe

 

Mtengo wa MTBF

100,000 maola

 


Zithunzi zatsatanetsatane wazinthu:

Mtengo Wotsikitsitsa Wathunthu wa 10g - 16 10/100/1000TX Ndi 4 1G/10G SFP Slot | Kuwongolera kwa Industrial Ethernet Switch JHA-MIW4G016H - JHA zithunzi zatsatanetsatane

Mtengo Wotsikitsitsa Wathunthu wa 10g - 16 10/100/1000TX Ndi 4 1G/10G SFP Slot | Kuwongolera kwa Industrial Ethernet Switch JHA-MIW4G016H - JHA zithunzi zatsatanetsatane

Mtengo Wotsikitsitsa Wathunthu wa 10g - 16 10/100/1000TX Ndi 4 1G/10G SFP Slot | Kuwongolera kwa Industrial Ethernet Switch JHA-MIW4G016H - JHA zithunzi zatsatanetsatane

Mtengo Wotsikitsitsa Wathunthu wa 10g - 16 10/100/1000TX Ndi 4 1G/10G SFP Slot | Kuwongolera kwa Industrial Ethernet Switch JHA-MIW4G016H - JHA zithunzi zatsatanetsatane

Mtengo Wotsikitsitsa Wathunthu wa 10g - 16 10/100/1000TX Ndi 4 1G/10G SFP Slot | Kuwongolera kwa Industrial Ethernet Switch JHA-MIW4G016H - JHA zithunzi zatsatanetsatane


Zogwirizana nazo:

Monga njira yabwino yokwaniritsira zofuna za kasitomala, ntchito zathu zonse zimachitidwa mosamalitsa mogwirizana ndi mawu athu apamwamba kwambiri, Mtengo Wopikisana, Utumiki Wachangu Pamtengo Wotsikitsitsa wa 10g Yathunthu - 16 10/100/1000TX Ndi 4 1G/10G SFP Slot | Kuwongolera kwa Industrial Ethernet Switch JHA-MIW4G016H - JHA , Chogulitsacho chidzaperekedwa kudziko lonse lapansi, monga: Armenia, Niger, Thailand, Takhala tikulimbikira mu bizinesi ya Quality First, Kulemekeza Mapangano ndi Kuyimilira ndi Mbiri, kupereka makasitomala ndi zinthu zokhutiritsa ndi ntchito. Anzathu kunyumba ndi kunja ali olandiridwa ndi manja awiri kuti akhazikitse ubale wamuyaya ndi ife.

Zabwino komanso zotumizira mwachangu, ndizabwino kwambiri. Zogulitsa zina zimakhala ndi vuto pang'ono, koma woperekayo adalowa m'malo mwanthawi yake, zonse, takhutira.
5 NyenyeziWolemba Gail waku Hamburg - 2018.06.26 19:27
Woyang'anira maakaunti adafotokoza mwatsatanetsatane za malondawo, kuti timvetsetse bwino za malondawo, ndipo pamapeto pake tidaganiza zogwirizana.
5 NyenyeziWolemba Jane waku Orlando - 2018.09.08 17:09
Lembani uthenga wanu apa ndikutumiza kwa ife