Sìona proifeasanta 10g Sfp + Càball Optigeach Gnìomhach - 10G SFP + Càball Ceangail Dìreach JHA-SFP-10G-PCU - JHA

Tuairisgeul goirid:


Ro-shealladh

Bhidio co-cheangailte

Fios air ais (2)

Luchdaich sìos

Tha buidheann dèanadais sgileil againn a-nis gus taic air leth a thabhann don neach-cleachdaidh againn. Mar as trice bidh sinn a’ leantainn tenet a tha ag amas air teachdaiche, le fòcas air mion-fhiosrachadhTionndadh lìonra 8 port,Bidh Sìona a ’toirt seachad Prìs SFP Àrd-astar 1.25GB/S Optical Transceiver,Tionndadh 2m V24, Tha sinn air cliù earbsach a thogail am measg mòran de luchd-ceannach. Is e càileachd & teachdaiche an-còmhnaidh an tòir leantainneach againn. Cha dèan sinn oidhirp sam bith gus toraidhean nas fheàrr a dhèanamh. Coimhead air adhart ri co-obrachadh fad-ùine agus buannachdan dha chèile!
Sìona proifeasanta 10g Sfp + Càball Optical Gnìomhach - 10G SFP + Càball Ceangail Dìreach JHA-SFP-10G-PCU - Mion-fhiosrachadh JHA:

Tuairisgeul Coitcheann

Tha càballan ceangail dìreach SFP + a’ gèilleadh ri mion-chomharrachadh SFF-8431, SFF-8432 agus SFF-8472. Tha diofar roghainnean de shlat-uèir rim faighinn bho 30 gu 24 AWG le diofar roghainnean de dh'fhaid càball (suas gu 7m).

Feartan

◊ A’ gèilleadh ri SFF-8431, 8432 agus 8472.

◊ Ìre dàta suas ri 10.3125Gbps gach seanail

◊ Tar-chuir suas gu 7m

◊ Teòthachd obrachaidh: -40 ℃ gu +80 ℃

◊ Solar cumhachd 3.3V singilte

◊ Gèilleadh ri RoHS

Sochairean

◊ Fuasgladh copair èifeachdach a thaobh cosgais

◊ Fuasgladh cumhachd siostam iomlan as ìsle

◊ Fuasgladh EMI siostam iomlan as ìsle

◊ Dealbhadh as fheàrr airson ionracas chomharran

Iarrtasan

◊ Ethernet 10G

Sònrachadh;

Mìneachadh gnìomh pin

Pinn loidsig samhladh

Tuairisgeul

1

  VeeT Talamh Transmitter Modal

2

LVTTL-O Tx_ Sgàineadh Sgàineadh Transmitter Modal

3

LVTTL-I Tx_ à comas Transmitter à comas; Cuir dheth toradh laser sgaoilidh

4

LVTTL-I/O SDA Loidhne Dàta Eadar-aghaidh Sreathach 2-uèir (An aon rud ri MOD-DEF2 ann an INF-8074i)

5

LVTTL-I/O

SCL

Cloc eadar-aghaidh sreathach 2-uèir (An aon rud ri MOD-DEF1 ann an INF-8074i)

6

  Mod_ABS Modal Às-làthair, ceangailte ri VeeT no VeeR sa mhodal

7

LVTTL-I

RS0

Ìre Tagh 0, gu roghnach smachd air cuidhteas modal SFP +

8

LVTTL-O Rx_LOS Neach-glacaidh a’ call comharra chomharran (Ann an FC air a chomharrachadh mar Rx_LOS agus ann an Ethernet air a chomharrachadh mar Signal Detect)

9

LVTTL-I

RS1

Ìre Tagh 1, gu roghnach smachd air inneal-sgaoilidh modal SFP +
10   VeeR Àite Glacadair Modal
11   VeeR Àite Glacadair Modal
12 CML-O

RD-

Glacadair Toradh Dàta air a thionndadh
13 CML-O

RD+

Glacadair Toradh Dàta Neo-thionndaidh
14   VeeR Àite Glacadair Modal
15   VccR Glacadair Modal Solar 3.3 V
16   VccT Transmitter modal 3.3 V Solar
17   VeeT Talamh Transmitter Modal
18 CML-I

TD+

Cur a-steach dàta neo-thionndaidh le inneal-sgaoilidh
19 CML-I

TD-

Cur a-steach dàta air a thionndadh le Transmitter
20   VeeT Talamh Transmitter Modal

32 

Coitcheann Bathar Caractaran

SFP+ DAC Sònrachaidhean  
Àireamh nan Lannan Tx & Rx
Ìre dàta sianal 10.3125 Gbps
Teòthachd Obrachaidh 0 gu + 70 ° C
Teòthachd stòraidh -40 gu + 85 ° C
Voltage solair 3.3 V ainmichte
Eadar-aghaidh dealain Ceangal iomall 20 prìne
Eadar-aghaidh riaghlaidh Sreath, I2C

Àrd Luas Caractaran

Paramadair samhladh Min Seòrsa Max Aonadan Notaichean
Impedance eadar-dhealaichte

Zd

90

100 110

O

 
 Call teachd-a-steach eadar-dhealaichte   SDDXX

dB

0.01 ~ 4.1GHz

Log10/(f/5.5) le f ann an GHz

 

dB

 4.1 ~ 11.1GHz
Modh Coitcheann Toradh Toradh Call  SCCXX

dB

0.01 ~ 2.5GHz
   

-3

dB

2.5 ~ 11.1GHz
Peanas saobhadh cruth tonn eadar-dhealaichte dWDPc     6.75 dB  
Call VMA

L

    4.4 dB  
Co-mheas call VMA gu Crosstalk VCR 32.5    

dB

 

Meacanaigeach Sònrachaidhean

Tha an ceanglaiche co-chòrdail ris an t-sònrachadh SFF-8432.

54

Fad (m) Càball AWG

1

30

3

30

5

fichead 's a ceithir

7

fichead 's a ceithir

Riaghailteach Gèilleadh

Feart

Deuchainn Dòigh-obrach Coileanadh
Sgaoileadh electrostatach (ESD) gu na prìneachan dealain  Modh MIL-STD-883C 3015.7  Clas 1(>2000 Volts)
Eadar-theachd electromagnetic (EMI) FCC Clas B Gèilleadh ri Inbhean
CENELEC EN55022 Clas B
CISPR22 ITE Clas B
 Dìonachd RF (RFI)  IEC 61000-4-3 Mar as trice na seall buaidh a ghabhas tomhas bho raon 10V / m air a sguabadh bho 80 gu 1000MHz
Gèilleadh RoHS Stiùireadh RoHS 2011/65/EU agus an Stiùireadh Atharrachaidh 6/6 aige RoHS 6/6 a 'gèilleadh

 

 


Dealbhan mion-fhiosrachadh toraidh:

Sìona proifeasanta 10g Sfp + Càball Optical Gnìomhach - 10G SFP + Càball Ceangail Dìreach JHA-SFP-10G-PCU - dealbhan mionaideach JHA

Sìona proifeasanta 10g Sfp + Càball Optical Gnìomhach - 10G SFP + Càball Ceangail Dìreach JHA-SFP-10G-PCU - dealbhan mionaideach JHA

Sìona proifeasanta 10g Sfp + Càball Optical Gnìomhach - 10G SFP + Càball Ceangail Dìreach JHA-SFP-10G-PCU - dealbhan mionaideach JHA


Stiùireadh toraidh co-cheangailte:

Bidh sinn a’ toirt taic do ar luchd-ceannach san amharc le bathar àrd-inbhe air leth math agus solaraiche aig ìre nas àirde. Le bhith mar an neach-saothrachaidh speisealta san roinn seo, tha sinn a-nis air eòlas practaigeach pailt fhaighinn ann a bhith a’ dèanamh agus a’ riaghladh airson Proifeasanta Sìona 10g Sfp + Active Optical Cable - 10G SFP + Càball Ceangail Dìreach JHA-SFP-10G-PCU - JHA, Bidh an toradh a’ solarachadh dha na h-uile. an t-saoghail, leithid: Belize, Fionnlainn, an Ostair, Tha deagh chliù aig na toraidhean le prìs farpaiseach, cruthachadh gun samhail, a’ stiùireadh ghluasadan gnìomhachais. Tha a’ chompanaidh ag iarraidh air a’ phrionnsapal de bheachd buannachadh, tha iad air lìonra reic cruinne agus lìonra seirbheis às-reic a stèidheachadh.

Faodaidh a 'chompanaidh cumail suas ris na h-atharrachaidhean anns a' mhargaidh gnìomhachais seo, ùrachadh toraidh gu luath agus tha a 'phrìs saor, is e seo an dàrna co-obrachadh againn, tha e math.
5 RionnaganLe Iain biddlestone à Mongolia - 2018.06.12 16:22
Faodaidh toraidhean a ’chompanaidh coinneachadh ri ar feumalachdan eadar-mheasgte, agus tha a’ phrìs saor, cudromach gu bheil an càileachd cuideachd gu math snog.
5 RionnaganLe Elva à New Delhi - 2017.03.07 13:42
Sgrìobh do theachdaireachd an seo agus cuir thugainn e