Deagh chàileachd 10g Sfp + Gu Sfp + Càball Gnìomhach Optigeach - 100G QSFP28/4SFP28 Càball Ceangail Dìreach JHA-QSFP28-4SFP28-100G-PCU - JHA

Tuairisgeul goirid:


Ro-shealladh

Bhidio co-cheangailte

Fios air ais (2)

Luchdaich sìos

Is e ar n-amas toraidhean àrd-inbhe a thaisbeanadh aig prìsean ionnsaigheach, agus seirbheisean làn chridhe do luchd-ceannach air feadh an t-saoghail. Tha sinn air ar teisteanas ISO9001, CE, agus GS a dhearbhadh agus a’ cumail gu teann ris na sònrachaidhean sàr-mhath aca airsonEadar-lìon meadhanan converter,10/100/1000mbit/S,Càball Aoc/Dac, Le barrachd air 8 bliadhna de chompanaidh, a-nis tha sinn air eòlas beairteach agus teicneòlasan adhartach a chruinneachadh bho ghineadh ar bathar.
Deagh chàileachd 10g Sfp + Gu Sfp + Càball Gnìomhach Optigeach - 100G QSFP28/4SFP28 Càball Ceangail Dìreach JHA-QSFP28-4SFP28-100G-PCU - Mion-fhiosrachadh JHA:

Tuairisgeul Coitcheann

Tha co-chruinneachadh càball copair fulangach QSFP28 a’ nochdadh ochd paidhrichean copair eadar-dhealaichte, a’ toirt seachad ceithir seanalan tar-chuir dàta aig astaran suas ri 28Gbps gach seanail, agus a’ coinneachadh ri riatanasan 100G Ethernet, 25G Ethernet agus Ìre Dàta Leasaichte InfiniBand (EDR). bho 26AWG tro 30AWG - tha an co-chruinneachadh càball copair 100G seo a’ nochdadh call cuir a-steach ìosal agus crois-labhairt ìosal.

Air a dhealbhadh airson tagraidhean anns an ionad dàta, margaidhean lìonraidh agus cian-chonaltraidh a dh’ fheumas co-chruinneachadh càball àrd-astar, earbsach, tha an toradh ath ghinealach seo a ’roinn an aon eadar-aghaidh suirghe le factar cruth QSFP +, ga dhèanamh air ais co-chosmhail ri puirt QSFP a th’ ann mar-thà.QSFP28 faodar a chleachdadh le tagraidhean gnàthach 10G agus 14G le iomall mòr iomlanachd chomharran.

Feartan agus buannachdan

◊ Co-chòrdail ri IEEE 802.3bj, IEEE 802.3by agus InfiniBand EDR

◊ A’ toirt taic do ìrean dàta iomlan de 100Gbps

◊ Togail leasaichte gus call cuir a-steach agus tar-labhairt a lughdachadh

◊ Air ais co-chòrdail ris na ceanglaichean agus na cèidsichean QSFP + a th’ ann mar-thà

◊ Dealbhadh latch sleamhnag tarraing-gu-leigeil ma sgaoil

◊ 26AWG tro 30AWG càball

◊ Cuir dìreach agus briseadh a-mach rèiteachadh cruinneachaidh ri fhaighinn

◊ Bidh crìoch braid càball gnàthaichte a’ cuingealachadh rèididheachd EMI

◊ Mapadh EEPROM gnàthaichte airson ainm-sgrìobhte càball

◊ Gèilleadh ri RoHS

Iarrtasan Bathar

◊ Switches, frithealaichean agus routers

◊ Lìonraidhean ionadan dàta

◊ Lìonraidhean àite stòraidh

◊ Coimpiutaireachd àrd-choileanadh

◊ Bun-structair cian-conaltraidh agus uèirleas

◊ Diagnosachd meidigeach agus lìonrachadh

◊ Uidheam deuchainn is tomhais

Inbhean Gnìomhachais

◊ Ethernet 100G (IEEE 802.3bj)

◊ Ethernet 25G (IEEE 802.3by)

◊ InfiniBand EDR

◊ SFF-8665 QSFP + 28G 4X Fuasgladh Transceiver Pluggable (QSFP28)

◊ SFF-8402 SFP + 1X 28Gb/s Fuasgladh Transceiver Pluggable (SFP28)

Sgrìobhainnean Teicnigeach

◊ 108-32081 QSFP28 Modal Copper Ceangal dìreach Seanadh càball

◊ 108-2364 Port Singilte agus Ganged SFP + cèidsichean, Zsfp + Port Singilte agus cèidsichean Ganged, agus SFP + Copper Direct Ceangail Cable Co-chruinneachaidhean.

Sònrachadh

Feartan àrd-astar:

Paramadair

samhladh

Min

àbhaisteach

Max

Aonad

Thoir an aire

Impedance eadar-dhealaichte

RIN, PP

90

100

110

O

 

Call cuir a-steach

SDD21

8

 

22.48

dB

Aig 12.8906 GHz

Call tilleadh eadar-dhealaichte

SDD11

 

12.45

  Faic 1

dB

Aig 0.05 gu 4.1 GHz

SDD22

3.12

 

Faic 2

dB

Aig 4.1 gu 19 GHz

 

 

Modh cumanta gu

SCC11

     

dB

 

modh cumanta

2

   

Aig 0.2 gu 19 GHz

SCC22

 

 

call toradh toraidh

           

 

 

 

 

 

 

 

 

Eadar-dhealaichte ri modh cumanta

SCD11

 

12

  Faic 3

dB

 

Aig 0.01 gu 12.89 GHz

 

 

 

 

 

call tilleadh

SCD22

10.58

  Faic 4  

Aig 12.89 gu 19 GHz

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

10

 

 

 

 

Aig 0.01 gu 12.89 GHz

Eadar-dhealaichte ri Modh Coitcheann

SCD21-IL

      Faic 5

dB

 

Aig 12.89 gu 15.7 GHz

Call iompachadh

   

 

 

 

6.3

 

 

 

 

Aig 15.7 gu 19 GHz

Iomall obrachaidh sianal

LEIS

3

   

dB

 

Notaichean:

Co-èifeachd 1.Meòrachadh air a thoirt seachad le co-aontar SDD11(dB)

Co-èifeachd 2.Meòrachadh air a thoirt seachad le co-aontar SDD11(dB)

3.Co-èifeachd meòrachaidh air a thoirt seachad le co-aontar SCD11(dB)

4.Co-èifeachd meòrachaidh air a thoirt seachad le co-aontar SCD11(dB)

5.Co-èifeachd meòrachaidh air a thoirt seachad le co-aontar SCD21(dB)

Tuairisgeul pin

Mìneachadh gnìomh prìne SFP28:

Pinn

loidsig

samhladh

Ainm/Tuairisgeul

Notaichean

1

 

VeeT

Talamh Transmitter

 

2

LV-TTL-O

TX_ Sgàineadh

Chan eil

1

3

LV-TTL-I

TX_DIS

Transmitter à comas

2

4

LV-TTL-I/O

SDA

Dàta sreathach Tow Wire

 

5

LV-TTL-I

SCL

Cloc sreathach Tow Wire

 

6

 

MOD_DEF0

Modal an làthair, ceangail ri VeeT

 

7

LV-TTL-I

RS0

Chan eil

1

8

LV-TTL-O

AN

LOS an Chomharra

2

9

LV-TTL-I

RS1

Chan eil

1

10

 

VeeR

Fearann ​​​​Faigheadair

 

11

 

VeeR

Fearann ​​​​Faigheadair

 

12

CML-O

RD-

Dàta Glacadair air a thionndadh

 

13

CML-O

RD+

Dàta Glacadair Neo-thionndaidh

 

14

 

VeeR

Fearann ​​​​Faigheadair

 

15

 

VccR

Solaraiche cuidhteas 3.3V

 

16

 

VccT

Solar Transmitter 3.3V

 

17

 

VeeT

Talamh Transmitter

 

 

18

CML-I

TD+

 

Dàta Transmitter Neo-thionndaidh

 

 

19

CML_I

TD-

 

Dàta Transmitter air a thionndadh

 

 

20

 

VeeT

 

Talamh Transmitter

 

1.

Comharran gun taic ann an SFP + Copper air a shlaodadh sìos gu VeeT le resistor 30K ohms  

2.

Chan eil co-chruinneachaidhean càball fulangach a’ toirt taic LOS agus TX_DIS  

34 (1)
Mìneachadh gnìomh pin QSFP28

Pinn

loidsig

samhladh

Tuairisgeul

1

 

GND

Talamh

2

CML-I

Tx2n

Cur a-steach dàta air a thionndadh le Transmitter

3

CML-I

Tx2 p

Cur a-steach dàta neo-thionndaidh le inneal-sgaoilidh

4

 

GND

Talamh

5

CML-I

Tx4n

Cur a-steach dàta air a thionndadh le Transmitter

6

CML-I

Tx4p

Cur a-steach dàta neo-thionndaidh le inneal-sgaoilidh

7

 

GND

Talamh

8

LVTTL-I

ModSelL

Taghadh Modal

9

LVTTL-I

Ath-shuidheachadhL

Ath-shuidheachadh modal

10

 

Vcc Rx

Glacadair solar cumhachd +3.3V

11

LVCMOS-

SCL

Cloc eadar-aghaidh sreathach 2-uèir

Tha mi/o

     

12

LVCMOS-

SDA

Dàta eadar-aghaidh sreathach 2-uèir

Tha mi/o

     

13

 

GND

Talamh

14

CML-O

Rx3p

Glacadair Toradh Dàta Neo-thionndaidh

15

CML-O

Rx3n

Glacadair Toradh Dàta air a thionndadh

16

 

GND

Talamh

17

CML-O

Rx1p

Glacadair Toradh Dàta Neo-thionndaidh

18

CML-O

Rx1n

Glacadair Toradh Dàta air a thionndadh

19

 

GND

Talamh

20

 

GND

Talamh

fichead 's a h-aon

CML-O

Rx2n

Glacadair Toradh Dàta air a thionndadh

fichead 's a dhà

CML-O

Rx2p

Glacadair Toradh Dàta Neo-thionndaidh

fichead 's a trì

 

GND

Talamh

fichead 's a ceithir

CML-O

Rx4n

Glacadair Toradh Dàta air a thionndadh

25

CML-O

Rx4p

Glacadair Toradh Dàta Neo-thionndaidh

26

 

GND

Talamh

27

LVTTL-O

ModPrsL

Modal an làthair

28

LVTTL-O

IntL

Briseadh

29

 

Vcc Tx

+ 3.3V Tar-chuir solar cumhachd

30

 

VC1

+3.3V solar cumhachd

31

LVTTL-I

LPMod

Modh Cumhachd Ìosal

32

 

GND

Talamh

33

CML-I

Tx 3 p

Cur a-steach dàta neo-thionndaidh le inneal-sgaoilidh

34

CML-I

Tx3n

Cur a-steach dàta air a thionndadh le Transmitter

35

 

GND

Talamh

36

CML-I

Tx1p

Cur a-steach dàta neo-thionndaidh le inneal-sgaoilidh

37

CML-I

Tx1n

Cur a-steach dàta air a thionndadh le Transmitter

38

 

GND

Talamh

 34 (2) 

Meacanaigeach Sònrachaidhean

Tha an ceanglaiche co-chòrdail ris an t-sònrachadh SFF-8432 agus SFF-8665.

34 (3)

Fad (m) Càball AWG

1

30

2

30

3

26

4

26

5

26

Riaghailteach Gèilleadh

Feart

Deuchainn Dòigh-obrach

Coileanadh

Sgaoileadh electrostatach (ESD) gu na prìneachan dealain

Modh MIL-STD-883C 3015.7

Clas 1(>2000 Volts)

Eadar-theachd electromagnetic (EMI)

FCC Clas B

Gèilleadh ri Inbhean

CENELEC EN55022 Clas B

CISPR22 ITE Clas B

 

Dìonachd RF (RFI)

 

IEC 61000-4-3

Mar as trice na seall buaidh a ghabhas tomhas bho raon 10V / m air a sguabadh bho 80 gu 1000MHz

Gèilleadh RoHS

Stiùireadh RoHS 2011/6/5/EU agus an Stiùireadh Atharrachaidh 6/6 aige

RoHS 6/6 a 'gèilleadh


Dealbhan mion-fhiosrachadh toraidh:

Deagh chàileachd 10g Sfp + Gu Sfp + Càball Optigeach Gnìomhach - 100G QSFP28/4SFP28 Càball Ceangail Dìreach JHA-QSFP28-4SFP28-100G-PCU - dealbhan mionaideach JHA

Deagh chàileachd 10g Sfp + Gu Sfp + Càball Optigeach Gnìomhach - 100G QSFP28/4SFP28 Càball Ceangail Dìreach JHA-QSFP28-4SFP28-100G-PCU - dealbhan mionaideach JHA

Deagh chàileachd 10g Sfp + Gu Sfp + Càball Optigeach Gnìomhach - 100G QSFP28/4SFP28 Càball Ceangail Dìreach JHA-QSFP28-4SFP28-100G-PCU - dealbhan mionaideach JHA


Stiùireadh toraidh co-cheangailte:

Tha am fàs againn an urra ri toraidhean adhartach, tàlantan mòra agus feachdan teicneòlais neartaichte a-rithist airson deagh chàileachd 10g Sfp + Gu Sfp + Càball Optical Gnìomhach - 100G QSFP28/4SFP28 Ceangal Dìreach Cable JHA-QSFP28-4SFP28-100G-PCU - JHA, Bheir an toradh gu air feadh an t-saoghail, leithid: Macedonia, Swansea, Suaineach, Tha bun-structar làidir riatanach do bhuidheann sam bith. Tha sinn a’ faighinn taic bho ghoireas bun-structair làidir a leigeas leinn ar bathar a dhèanamh, a stòradh, a sgrùdadh agus a chuir air feadh an t-saoghail. Gus sruth-obrach rèidh a chumail suas, tha sinn air ar bun-structar a roinn ann an grunn roinnean. Tha na roinnean sin uile ag obair leis na h-innealan as ùire, innealan ùr-nodha agus uidheamachd. Air sgàth sin, is urrainn dhuinn cinneasachadh voluminous a choileanadh gun a bhith a’ toirt buaidh air càileachd.

Tha an neach-reic proifeasanta agus cunntachail, blàth agus modhail, bha còmhradh tlachdmhor againn agus cha robh bacadh cànain air conaltradh.
5 RionnaganLe Kama às an Danmhairg - 2018.02.21 12:14
Is e seo a’ chiad ghnìomhachas às deidh ar companaidh a stèidheachadh, tha toraidhean agus seirbheisean gu math sàsachail, tha deagh thoiseach tòiseachaidh againn, tha sinn an dòchas co-obrachadh leantainneach san àm ri teachd!
5 RionnaganLe Eleanore bho Islamabad - 2017.10.23 10:29
Sgrìobh do theachdaireachd an seo agus cuir thugainn e